首页    
公司简介 新闻资讯 产品介绍 成功案例 技术支持 联机下载 联系我们
您现在的位置:首页 > 新闻资讯

文柏华    2006-1-13
74. fab:常指半导体生产的制造工厂。
75. feature size:特征尺寸,指单个图形的最小物理尺寸。
76. field-effect transistor(FET):场效应管。包含源、漏、栅、衬四端,由源经栅到漏的多子流驱动而工作,多子流由栅下的横向电场控制。
77. film:薄膜,圆片上的一层或多层迭加的物质。
78. flat:平边
79. flatband capacitanse:平带电容
80. flatband voltage:平带电压
81. flow coefficicent:流动系数
82. flow velocity:流速计
83. flow volume:流量计
84. flux:单位时间内流过给定面积的颗粒数
85. forbidden energy gap:禁带
86. four-point probe:四点探针台
87. functional area:功能区
88. gate oxide:栅氧
89. glass transition temperature:玻璃态转换温度
90. gowning:净化服
91. gray area:灰区
92. grazing incidence interferometer:切线入射干涉仪
93. hard bake:后烘
94. heteroepitaxy:单晶长在不同材料的衬底上的外延方法
95. high-current implanter:束电流大于3ma的注入方式,用于批量生产
96. hign-efficiency particulate air(HEPA) filter:高效率空气颗粒过滤器,去掉99.97%的大于0.3um的颗粒
97. host:主机
98. hot carriers:热载流子
99. hydrophilic:亲水性
100. hydrophobic:疏水性
101. impurity:杂质
102. inductive coupled plasma(ICP):感应等离子体
103. inert gas:惰性气体
104. initial oxide:一氧
105. insulator:绝缘
106. isolated line:隔离线
107. implant : 注入
108. impurity n : 掺杂
109. junction : 结
110. junction spiking n :铝穿刺
111. kerf :划片槽
112. landing pad n AD
113. lithography n 制版
114. maintainability, equipment : 设备产能
115. maintenance n :保养
 
 
116. majority carrier n :多数载流子
117. masks, device series of n : 一成套光刻版
118. material n :原料
119. matrix n 1 :矩阵
120. mean n : 平均值
121. measured leak rate n :测得漏率
122. median n :中间值
123. memory n : 记忆体
124. metal n :金属
125. nanometer (nm) n :纳米
126. nanosecond (ns) n :纳秒
127. nitride etch n :氮化物刻蚀
128. nitrogen (N2 ) n: 氮气,一种双原子气体
129. n-type adj :n型
130. ohms per square n:欧姆每平方: 方块电阻
131. orientation n: 晶向,一组晶列所指的方向
132. overlap n : 交迭区
133. oxidation n :氧化,高温下氧气或水蒸气与硅进行的化学反应
134. phosphorus (P) n :磷 ,一种有毒的非金属元素
135. photomask n :光刻版,用于光刻的版
136. photomask, negative n:反刻
137. images:去掉图形区域的版
138. photomask, positive n:正刻
139. pilot n :先行批,用以验证该工艺是否符合规格的片子
140. plasma n :等离子体,用于去胶、刻蚀或淀积的电离气体
141. plasma-enhanced chemical vapor deposition (PECVD) n: 等离子体化学气相淀积,低温条件下的等离子淀积工艺
142. plasma-enhanced TEOS oxide deposition n:TEOS淀积,淀积TEOS的一种工艺
143. pn junction n:pn结
144. pocked bead n:麻点,在20X下观察到的吸附在低压表面的水珠
145. polarization n:偏振,描述电磁波下电场矢量方向的术语
146. polycide n:多晶硅 /金属硅化物, 解决高阻的复合栅结构
147. polycrystalline silicon (poly) n:多晶硅,高浓度掺杂(>5E19)的硅,能导电。
148. polymorphism n:多态现象,多晶形成一种化合物以至少两种不同的形态结晶的现象
149. prober n :探针。在集成电路的电流测试中使用的一种设备,用以连接圆片和检测设备。
150. process control n :过程控制。半导体制造过程中,对设备或产品规范的控制能力。
151. proximity X-ray n :近X射线:一种光刻技术,用X射线照射置于光刻胶上方的掩 膜版,从而使对应的光刻胶暴光。
152. pure water n : 纯水。半导体生产中所用之水。
153. quantum device n :量子设备。一种电子设备结构,其特性源于电子的波动性。
154. quartz carrier n :石英舟。
155. random access memory (RAM) n :随机存储器。
156. random logic device n :随机逻辑器件。
157. rapid thermal processing (RTP) n :快速热处理(RTP)。
158. reactive ion etch (RIE) n : 反应离子刻蚀(RIE)。
159. reactor n :反应腔。反应进行的密封隔离腔。
160. recipe n :菜单。生产过程中对圆片所做的每一步处理规范。
161. resist n :光刻胶。
162. scanning electron microscope (SEM) n :电子显微镜(SEM)。
163. scheduled downtime n : (设备)预定停工时间。
164. Schottky barrier diodes n :肖特基二极管。
165. scribe line n :划片槽。
166. sacrificial etchback n :牺牲腐蚀。
167. semiconductor n :半导体。电导性介于导体和绝缘体之间的元素。
168. sheet resistance (Rs) (or per square) n :薄层电阻。一般用以衡量半导体表面杂质掺杂水平。
169. side load: 边缘载荷,被弯曲后产生的应力。
170. silicon on sapphire(SOS)epitaxial wafer:外延是蓝宝石衬底硅的原片
171. small scale integration(SSI):小规模综合,在单一模块上由2到10个图案的布局。
172. source code:原代码,机器代码编译者使用的,输入到程序设计语言里或编码器的代码。
173. spectral line: 光谱线,光谱镊制机或分光计在焦平面上捕捉到的狭长状的图形。
174. spin webbing: 旋转带,在旋转过程中在下表面形成的细丝状的剩余物。
175. sputter etch: 溅射刻蚀,从离子轰击产生的表面除去薄膜。
176. stacking fault:堆垛层错,原子普通堆积规律的背离产生的2次空间错误。
177. steam bath:蒸汽浴,一个大气压下,流动蒸汽或其他温度热源的暴光。
178. step response time:瞬态特性时间,大多数流量控制器实验中,普通变化时段到气流刚 到达特定地带的那个时刻之间的时间。
179. stepper: 步进光刻机(按BLOCK来曝光)
180. stress test: 应力测试,包括特定的电压、温度、湿度条件。
181. surface profile:表面轮廓,指与原片表面垂直的平面的轮廓(没有特指的情况下)。
182. symptom:征兆,人员感觉到在一定条件下产生变化的弊病的主观认识。
183. tack weld:间断焊,通常在角落上寻找预先有的地点进行的点焊(用于连接盖子)。
184. Taylor tray:泰勒盘,褐拈土组成的高膨胀物质。
185. temperature cycling:温度周期变化,测量出的重复出现相类似的高低温循环。
186. testability:易测性,对于一个已给电路来说,哪些测试是适用它的。
187. thermal deposition:热沉积,在超过950度的高温下,硅片引入化学掺杂物的过程。
188. thin film:超薄薄膜,堆积在原片表面的用于传导或绝缘的一层特殊薄膜。
189. titanium(Ti): 钛。
190. toluene(C6H5CH3): 甲苯。有毒、无色易燃的液体,它不溶于水但溶于酒精和大气。
191. 1,1,1-trichloroethane(TCA)(CL3CCH3): 有毒、不易燃、有刺激性气味的液态溶剂。这种混合物不溶于水但溶于酒精和大气。
192. tungsten(W): 钨。
193. tungsten hexafluoride(WF6): 氟化钨。无色无味的气体或者是淡黄色液体。在CVD中WF6用于淀积硅化物,也可用于钨传导的薄膜。
194. tinning: 金属性表面覆盖焊点的薄层。
195. total fixed charge density(Nth): 下列是硅表面不可动电荷密度的总和:氧化层固定电荷密度(Nf)、氧化层俘获的电荷的密度(Not)、界面负获得电荷密度(Nit)。
196. watt(W): 瓦。能量单位。
197. wafer flat: 从晶片的一面直接切下去,用于表明自由载流子的导电类型和晶体表面的晶向,也可用
 
ACA Anisotropic Conductive Adhesive 各向异性导电胶
ACAF Anisotropic Conductive Adhesive Film各项异性导电胶膜
Al Aluminium 铝
ALIVH All Inner Via Hole 完全内部通孔
AOI Automatic Optial Inspection 自动光学检查
ASIC Application Specific Integrated Circuit 专用集成电路
ATE Automatic Test Equipment 自动监测设备
AU Gold 金

BCB Benzocyclohutene,Benzo Cyclo Butene 苯丙环丁烯
BEO Beryllium Oxide 氧化铍
BIST Built-In Self-Test(Function) 内建自测试(功能)
BIT Bipolar Transistor 双极晶体管
BTAB Bumped Tape Automated Bonding 凸点载带自动焊
BGA Ball Grid Array 焊球阵列
BQFP Quad Flat Package With Bumper 带缓冲垫的四边引脚扁平封装

C4 Controlled Collapsed Chip Connection 可控塌陷芯片连接
CAD Computer Aided Design 计算机辅助设计
CBGA Ceramic Ball Grid Array 陶瓷焊球阵列
CCGA Ceramic Column Grid Array 陶瓷焊柱阵列
CLCC Ceramic Leaded Chip Carrier 带引脚的陶瓷片式载体
CML Current Mode Logic 电流开关逻辑
CMOS Complementary Metal-Oxide-Semiconductor 互补金属氧化物半导体
COB Chip on Board 板上芯片
COC Chip on Chip 叠层芯片
COG Chip on Glass 玻璃板上芯片
CSP Chip Size Package 芯片尺寸封装
CTE Coefficient of Thermal Expansion 热膨胀系数
CVD Chemical Vapor Depositon 化学汽相淀积

DCA Direct Chip Attach 芯片直接安装
DFP Dual Flat Package 双侧引脚扁平封装
DIP Double In-Line Package 双列直插式封装
DMS Direct Metallization System 直接金属化系统
DRAM Dynamic Random Access Memory 动态随机存取存贮器
DSO Dual Small Outline 双侧引脚小外形封装
DTCP Dual Tape Carrier Package 双载带封装
3D Three-Dimensional 三维
2D Two-Dimensional 二维
EB Electron Beam 电子束
ECL Emitter-Coupled Logic 射极耦合逻辑
FC Flip Chip 倒装片法
FCB Flip Chip Bonding 倒装焊
FCOB Flip Chip on Board 板上倒装片
FEM Finite Element Method 有限元法
FP Flat Package 扁平封装
FPBGA Fine Pitch Ball Grid Array 窄节距BGA
FPD Fine Pitch Device 窄节距器件
FPPQFP Fine Pitch Plastic QFP 窄节距塑料QFP
GQFP Guard-Ring Quad Flat Package 带保护环的QFP

HDI High Density Interconnect 高密度互连
HDMI High Density Multilayer Interconnect 高密度多层互连
HIC Hybird Integrated Circuit 混合集成电路
HTCC High Temperature Co-Fired Ceramic 高温共烧陶瓷
HTS High Temperature Storage 高温贮存

IC Integrated Circuit 集成电路
IGBT Insulated Gate Bipolar Transistor 绝缘栅双极晶体管
ILB Inner-Lead Bond 内引脚焊接
I/O Input/Output 输入/输出
IVH Inner Via Hole 内部通孔
JLCC J-Leaded Chip Carrier J形引脚片式载体
KGD Known Good Die 优质芯片

LCC Leadless Chip Carrier 无引脚片式载体
LCCC Leadless Ceramic Chip Carrier 无引脚陶瓷片式载体
LCCP Lead Chip Carrier Package 有引脚片式载体封装
LCD Liquid Crystal Display 液晶显示器
LCVD Laser Chemical Vapor Deposition 激光化学汽相淀积
LDI Laser Direct Imaging 激光直接成像
LGA Land Grid Array 焊区阵列
LSI Large Scale Integrated Circuit 大规模集成电路
LOC Lead Over Chip 芯片上引线健合
LQFP Low Profile QFP 薄形QFP
LTCC Low Temperature Co-Fired Ceramic 低温共烧陶瓷

MBGA Metal BGA 金属基板BGA
MCA Multiple Channel Access 多通道存取
MCM Multichip Module 多芯片组件
MCM-C MCM with Ceramic Substrate 陶瓷基板多芯片组件
MCM-D MCM with Deposited Thin Film Inteconnect Substrate 淀积薄膜互连基板多芯片组件
MCM-L MCM with Laminated Substrate 叠层基板多芯片组件
MCP Multichip Package 多芯片封装
MELF Metal Electrode Face Bonding 金属电极表面健合
MEMS Microelectro Mechanical System 微电子机械系统
MFP Mini Flat Package 微型扁平封装
MLC Multi-Layer Ceramic Package 多层陶瓷封装
MMIC Monolithic Microwave Integrated Circuit 微波单片集成电路
MOSFET Metal-Oxide-Silicon Field-Effect Transistor 金属氧化物半导体场效应晶体管
MPU Microprocessor Unit 微处理器
MQUAD Metal Quad 金属四列引脚
MSI Medium Scale Integration 中规模集成电路
OLB Outer Lead Bonding 外引脚焊接

PBGA Plastic BGA 塑封BGA
PC Personal Computer 个人计算机
PFP Plastic Flat Package 塑料扁平封装
PGA Pin Grid Array 针栅阵列
PI Polymide 聚酰亚胺
PIH Plug-In Hole 通孔插装
PTF Plastic Leaded Chip Carrier 塑料有引脚片式载体
PTF Polymer Thick Film 聚合物厚膜
PWB Printed Wiring Board 印刷电路板
PQFP Plastic QFP 塑料QFP

QFJ Quad Flat J-leaded Package 四边J形引脚扁平封装
QFP Quad Flat Package 四边引脚扁平封装
QIP Quad In-Line Package 四列直插式封装
RAM Random Access Memory 随机存取存贮器

SBB Stud-Bump Bonding 钉头凸点焊接
SBC Solder-Ball Connection 焊球连接
SCIM Single Chip Integrated Module 单芯片集成模块
SCM Single Chip Module 单芯片组件
SLIM Single Level Integrated Module 单级集成模块
SDIP Shrinkage Dual Inline Package 窄节距双列直插式封装
SEM Sweep Electron Microscope 电子扫描显微镜
SIP Single In-Line Package 单列直插式封装
SIP System In a Package 系统级封装
SMC Surface Mount Component 表面安装元件
SMD Surface Mount Device 表面安装器件
SMP Surface Mount Package 表面安装封装
SMT Surface Mount Technology 表面安装技术
SOC System On Chip 系统级芯片
SOIC Small Outline Integrated Circuit 小外形封装集成电路
SOJ Small Outline J-Lead Package 小外形J形引脚封装
SOP Small Outline Package 小外形封装
SOP System On a Package 系统级封装
SOT Small Outline Transistor 小外形晶体管
SSI Small Scale Integration 小规模集成电路
SSIP Small Outline Single-Line Plug Package 小外形单列直插式封装
SSOP Shrink Small Outline Package 窄节距小外形封装
SPLCC Shrinkage Plasitc Leadless Chip Carrier 窄节距塑料无引脚片式载体
STRAM Selftimed Random Access Memory 自定时随机存取存贮器
SVP Surface Vertical Package 立式表面安装型封装

TAB Tape Automated Bonding 载带自动焊
TBGA Tape BGA 载带BGA
TCM Thermal Conduction Module 热导组件
TCP Tape Carrier Package 带式载体封装
THT Through-Hole Technology 通孔安装技术
TO Transistor Outline 晶体管外壳
TPQFP Thin Plastic QFP 薄形塑料QFP
TQFP Tape QFP 载带QFP
TSOP Thin SOP 薄形SOP
TTL Transistor-Transistor Logic 晶体管-晶体管逻辑

UBM Metalization Under Bump 凸点下金属化
UFPD Ultra Small Pitch Device 超窄节距器件
USOP Ultra SOP 超小SOP
USONF Ultra Small Outline Package Non Fin 无散热片的超小外形封装
UV Ultraviolet 紫外光

VHSIC Very High Speed Integrated Circuit 超高速集成电路
VLSI Very Large Scale Integrated Circuit 超大规模集成电路

WB Wire Bonding 引线健合
WLP Wafer Level Package 圆片级封装
WSI Wafer Scale Integration 圆片级规模集成
返 回
  
 广州市捷嘉高科技有限公司版权所有 
地址:广州市天河金颖大厦1515室
电话:020-38467770 电子邮件:gzoffice@gsltech.com.cn
备案号:粤ICP备12086727号-1